JIMI 发表于 20:50

基于FPGA的数字相关器电路设计

论文编号:DZXX266  论文字数:14597,页数:38
摘要
 在硬件电子电路设计领域中,FPGA的设计已经被广大电子工程师所使用,其现代EDA设计工具(如:ProtelDXP、Max+PlusⅡ等),既可以使用原理图编辑电路也可以使用硬件描述语言实现功能。其中VHDL语言在电子系统设计中已经十分流行和重要,是目前使用最为普遍的硬件描述语言。非常适用于可编程逻辑器件的应用设计。
 本次毕业设计的课题是“基于FPGA的数字相关器电路设计”,主要是考核我在数字相关器、门限判决、移位寄存器的逻辑设计、调试及VHDL编程设计等能力。培养我独立完成设计电路的能力,以及实际硬件编程能力。
 实际编辑中采用的设计工具和环境是ALTERA公司的Max+PlusⅡ10.2,能充分发挥编辑原理图和硬件描述语言的优势。最终分别对此编码电路采用模块搭建和VHDL语言编写两种方法实现。
关键词:数字相关器,FPGA,VHDL
  
ABSTRACT
 In the fields of design on the electronic circuit of the hardware, the FPGA(Field Programmable Gate Array )has already been used by the most of electronic engineers, Modern EDA design tool (for example: ProtelDXP, Max + PlusⅡ,etc.), we can use the principle picture to design logic electronic circuit, and we also can use the function of hardware describe language to design the function that we need. Among them, VHDL language has been already very popular and essential in the electronic system design, it gets and becomes the main hardware and describe language, it also gets to be very suitable for design of the programmable logic device.
 The subject of my graduation project is that“digital correlator is realized with FPGA”. It mainly examines my information theory and concept in logic design of digital correlator,match,and register zone.It tests my VHDL programmable ability of designing circuit by myself and so on. Observe and analyze design circuit ability, and actual programming ability independently.
 The design tool adopted and similator environment is Max + PlusⅡ 10.2 of ALTERA Company, which can give us full effect to advantage of principle design picture and hardware describe language. Finally adopting the two methods of module to build up and write with VHDL language to realize the circuit of this correlator.
 
Keywords:FPGA, VHDL, Digital correlator.
 
目录
摘要 I
ABSTRACT II
第1章 绪论 1
1.1 数字相关器设计背景 1
1.2 开发环境及语言介绍 3
1.2.1 开发环境介绍 3
1.2.2 语言特点介绍 6
1.2.2.1 VHDL语言 6
1.2.2.2  FPGA相关 7
第2章 相关器基本原理 8
2.1 相关器的基本概念 8
2.2 数字相关器的基本概念 8
2.3 数字相关器的工作原理与设计要求 10
2.4 本章小结 11
第3章 数字相关器逻辑电路设计 12
3.1 一次群信号的设计思路 12
3.2 逻辑电路设计的思路 12
3.3 实时部分的逻辑电路设计 13
 3.4 逻辑电路设计各器件说明 14
3.4.1 串行输入,串行输出的7位寄存器 14
3.4.2 相关电路部分 15
3.4.3 门限判决 15
3.4.4 相关值的输出 16
3.4.5 数字相关器中的相关概念 17
 3.5 实际仿真编译结果 18
 3.6 数字相关器设计中的问题解析 18
 3.7 本章小结 20
第4章 数字相关器的VHDL语言设计 21
4.1 D触发器的VHDL编写 21
4.2 七输入与门的VHDL编写 22
4.3 七输入或非门的VHDL编写 22
4.4 二输入异或门的VHDL编写 23
4.5 串行输入、串行输出移位寄存器 23
4.6 数字相关器整体VHDL程序 25
4.7 本章小结 27
第5章 结论 28
致谢 29
参考文献 30
附录1: 31
1.1 FPGA中的冒险现象 31
1.2 如何处理毛刺 32
页: [1]
查看完整版本: 基于FPGA的数字相关器电路设计